Verilog中任务task的使用_verilog task-程序员宅基地

技术标签: FPGA  Verilog  开发语言  

任务

任务类似于一段程序,可以使设计者从设计描述的不同位置执行共同的代码段。用任务定义可以将这个共同的代码段编写成任务,从而能够在设计描述的不同位置通过任务名调用该任务。任务可以包含时序控制即延时,而且任务也可以调用其他任务和函数

1.1任务的定义 

定义任务的格式如下:

tast [automatic] task_id;
  [declarations]...
  statements...
endtask

务可以没有参变量或者有一个或多个参变量。通过参变量可以将值传入和传出任务。除输入参变量外(任务接收到的值),任务还能有输出参变量(任务的返回值)和输入/输出(inout)参变量。任务的定义在模块声明部分编写,下面举例说明:

task rotate_left;
 inout[15:0] input_array;
 input [3:0] start_bit,stop_bit,rotate_by;
 reg fill_value;
 integer mac1,mac3;
 
 begin
   for(mac3=1;mac3<rotate_by;mac3++)
      begin
	     fill_value = input_array[stop_bit];
		 
		 for(mac1=stop_bit;mac1>start_bit+1;mac1--)
		     input_array[mac1]=input_array[mac1-1];
		 
		 input_array[start_bit]=fill_value;
	  end
 end
endtask

fill_value是一个局部变量,只有在任务中才直接可见。除任务参变量外,任务还能够引用任务定义所在模块中声明的任何变量。 

任务可以被声明为automatic类型。这样在任务中,任务内部声明的所有局部变量在每次任务调用时都进行动态分配,即在任务调用中的局部变量不会对两个单独或者并发的任务调用产生影响。而在静态(非automatic)任务中,在每次任务调用中的局部变量都使用同一个存储空间。借助关键字automatic就可以把任务指定为automatic类型。 

task automatic task_id(
            input.....
            output....
            inout....
            );
   reg...;
   wire...;
   ...
endtask

1.2任务的调用 

任务调用语句是一个过程性语句,可以出现在always或initial语句中,其格式如下:

task_id (expr1,expr2...exprN);

任务调用语句中,参变量列表必须与任务定义中的参变量列表顺序相匹配。此外,参变量是通过值进行传递的,而不是通过标记进行传递的。注意,由于任务能够包含时序控制,所以任务可能要在被调用后再经过一定延时才能返回值。此外,任务调用中的输出和输入/输出参变量必须是变量。如下例所示:

module global_var;
 reg [7:0] qram [63:0];
 integer index;
 reg check_bit;
 
 task get_parity;
   input [7:0] address;
   output parity_bit;
   parity_bit=^qram[address];//qram是模块内部的变量,任务中并没有声明;任务可以引用定义模块内的任何变量
 endtask
 
 initial begin
            for(index=0;index<63;index++)
               begin
                  get_parity(index,check_bit);
                  $display("Parity bit of memory word %d is %b.",index,check_bit);
               end
         end
endmodule

任务可以带有时序控制或者等待某些特定的事件发生。然而,直到任务退出时,赋给输出变量的值才传递给调用的参变量。看下例:

module task_wait;
 reg clk_ssp;
 
 task generate_waveform;
   output qclock;
   begin
      qclock=1;
	  #2 qclock=0;
	  #2 qclock=1;
	  #2 qclock=0;
   end
 endtask
 
 initial begin
            generate_waveform(clk_ssp);
         end
endmodule

任务和函数的共同点

1.任务函数必须在模块内定义,其作用范围仅适用于该模块,可以在模块内多次调用。
2.任务和函数可以声明局部变量,如寄存器,时间,整数,实数和事件,但是不能声明线网类型的变量
3.任务和函数只能使用行为级语句,但是不能包含always和initial块,设计者可以在always和initial块中调用任务和函数。

任务和函数的不同点
函数调用另一个函数,但是不能调用任务;

任务可以调用另一个任务,也可以调用函数
函数总是在仿真时刻0开始执行 

任务可以在非零时刻开始执行
函数一定不能包含任何延迟,事件或者时序控制声明语句

任务可以包含延迟,事件或者时序控制声明语句
函数至少要有一个输入变量,也可以有多个输入变量

任务可以没有或者有多个输入,输出,输入输出变量
函数只能返回一个值,函数不能有输出或者双向变量

任务不返回任何值,或者返回多个输出或双向变量值

由上述的特点决定:函数用于替代纯组合逻辑的verilog代码,而任务可以代替verilog的任何代码

任务

自动(可重入)任务:verilog任务中所有声明的变量地址空间都是静态分配的,因此如果在一个模块中多次调用任务时,可能会造成地址空间的冲突,为了避免这个问题,verilog通过在task关键字后面添加automatic使任务称为可重入的,这时在调用任务时,会自动给任务声明变量分配动态地址空间,这样有效避免了地址空间的冲突。

函数

函数使用关键字function和endfunction定义,对于子程序,如果满足下述所有条件则可以用函数来完成:

跟任务调用一样,在模块中如果调用多次函数,也会碰到地址冲突的问题,因此也引入automatic关键字来对函数可重用性声明。没有进行可重用性声明的函数不可以多次或者递归调用,进行了可重用性声明的函数可以递归调用。

常量函数和带符号函数(函数声明时加signed关键字说明)

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/Royonen/article/details/125493266

智能推荐

JWT(Json Web Token)实现无状态登录_无状态token登录-程序员宅基地

文章浏览阅读685次。1.1.什么是有状态?有状态服务,即服务端需要记录每次会话的客户端信息,从而识别客户端身份,根据用户身份进行请求的处理,典型的设计如tomcat中的session。例如登录:用户登录后,我们把登录者的信息保存在服务端session中,并且给用户一个cookie值,记录对应的session。然后下次请求,用户携带cookie值来,我们就能识别到对应session,从而找到用户的信息。缺点是什么?服务端保存大量数据,增加服务端压力 服务端保存用户状态,无法进行水平扩展 客户端请求依赖服务.._无状态token登录

SDUT OJ逆置正整数-程序员宅基地

文章浏览阅读293次。SDUT OnlineJudge#include<iostream>using namespace std;int main(){int a,b,c,d;cin>>a;b=a%10;c=a/10%10;d=a/100%10;int key[3];key[0]=b;key[1]=c;key[2]=d;for(int i = 0;i<3;i++){ if(key[i]!=0) { cout<<key[i.

年终奖盲区_年终奖盲区表-程序员宅基地

文章浏览阅读2.2k次。年终奖采用的平均每月的收入来评定缴税级数的,速算扣除数也按照月份计算出来,但是最终减去的也是一个月的速算扣除数。为什么这么做呢,这样的收的税更多啊,年终也是一个月的收入,凭什么减去12*速算扣除数了?这个霸道(不要脸)的说法,我们只能合理避免的这些跨级的区域了,那具体是那些区域呢?可以参考下面的表格:年终奖一列标红的一对便是盲区的上下线,发放年终奖的数额一定一定要避免这个区域,不然公司多花了钱..._年终奖盲区表

matlab 提取struct结构体中某个字段所有变量的值_matlab读取struct类型数据中的值-程序员宅基地

文章浏览阅读7.5k次,点赞5次,收藏19次。matlab结构体struct字段变量值提取_matlab读取struct类型数据中的值

Android fragment的用法_android reader fragment-程序员宅基地

文章浏览阅读4.8k次。1,什么情况下使用fragment通常用来作为一个activity的用户界面的一部分例如, 一个新闻应用可以在屏幕左侧使用一个fragment来展示一个文章的列表,然后在屏幕右侧使用另一个fragment来展示一篇文章 – 2个fragment并排显示在相同的一个activity中,并且每一个fragment拥有它自己的一套生命周期回调方法,并且处理它们自己的用户输_android reader fragment

FFT of waveIn audio signals-程序员宅基地

文章浏览阅读2.8k次。FFT of waveIn audio signalsBy Aqiruse An article on using the Fast Fourier Transform on audio signals. IntroductionThe Fast Fourier Transform (FFT) allows users to view the spectrum content of _fft of wavein audio signals

随便推点

Awesome Mac:收集的非常全面好用的Mac应用程序、软件以及工具_awesomemac-程序员宅基地

文章浏览阅读5.9k次。https://jaywcjlove.github.io/awesome-mac/ 这个仓库主要是收集非常好用的Mac应用程序、软件以及工具,主要面向开发者和设计师。有这个想法是因为我最近发了一篇较为火爆的涨粉儿微信公众号文章《工具武装的前端开发工程师》,于是建了这么一个仓库,持续更新作为补充,搜集更多好用的软件工具。请Star、Pull Request或者使劲搓它 issu_awesomemac

java前端技术---jquery基础详解_简介java中jquery技术-程序员宅基地

文章浏览阅读616次。一.jquery简介 jQuery是一个快速的,简洁的javaScript库,使用户能更方便地处理HTML documents、events、实现动画效果,并且方便地为网站提供AJAX交互 jQuery 的功能概括1、html 的元素选取2、html的元素操作3、html dom遍历和修改4、js特效和动画效果5、css操作6、html事件操作7、ajax_简介java中jquery技术

Ant Design Table换滚动条的样式_ant design ::-webkit-scrollbar-corner-程序员宅基地

文章浏览阅读1.6w次,点赞5次,收藏19次。我修改的是表格的固定列滚动而产生的滚动条引用Table的组件的css文件中加入下面的样式:.ant-table-body{ &amp;amp;::-webkit-scrollbar { height: 5px; } &amp;amp;::-webkit-scrollbar-thumb { border-radius: 5px; -webkit-box..._ant design ::-webkit-scrollbar-corner

javaWeb毕设分享 健身俱乐部会员管理系统【源码+论文】-程序员宅基地

文章浏览阅读269次。基于JSP的健身俱乐部会员管理系统项目分享:见文末!

论文开题报告怎么写?_开题报告研究难点-程序员宅基地

文章浏览阅读1.8k次,点赞2次,收藏15次。同学们,是不是又到了一年一度写开题报告的时候呀?是不是还在为不知道论文的开题报告怎么写而苦恼?Take it easy!我带着倾尽我所有开题报告写作经验总结出来的最强保姆级开题报告解说来啦,一定让你脱胎换骨,顺利拿下开题报告这个高塔,你确定还不赶快点赞收藏学起来吗?_开题报告研究难点

原生JS 与 VUE获取父级、子级、兄弟节点的方法 及一些DOM对象的获取_获取子节点的路径 vue-程序员宅基地

文章浏览阅读6k次,点赞4次,收藏17次。原生先获取对象var a = document.getElementById("dom");vue先添加ref <div class="" ref="divBox">获取对象let a = this.$refs.divBox获取父、子、兄弟节点方法var b = a.childNodes; 获取a的全部子节点 var c = a.parentNode; 获取a的父节点var d = a.nextSbiling; 获取a的下一个兄弟节点 var e = a.previ_获取子节点的路径 vue