硬十在手,别无所求【2017.6.30版本】_硬件十万个为什么开发流程篇 pdf-程序员宅基地

技术标签: 他山之石  


2017-07-01 硬十 硬件十万个为什么 硬件十万个为什么
硬件十万个为什么

Hardware_10W

热爱技术,乐于分享

1、电源类

1.1   电源基础

各种“地”—— 各种“GND”

板载电源设计规范

电源环路稳定性评价方法

深入芯片内部,理解去耦电容的作用

减小DC/DC变换器中的接 地反弹——一些接地要点

开关电源中的小启示

电源相关的测试

去耦电容的选择、容值计算和布局布线

可充电电池将被超级电容取代

电容去耦原理(解释十分透彻)

地线要短——测试开关电源纹波时

权衡电源与PCB设计

极点是男人,零点是女人

开关电源仿真(saber)——线下活动材料分享

马桶洁厕剂倒灌自来水,跟电源倒灌是一个道理


1.2   开关电源

螺旋线圈输出电感在低压大电流DC-DC转换器中的应用

为什么DDR电源设计时需要VTT电源

选择隔离电源还是非隔离电源?

PFM与PWM的技术总结

减少开关电源的纹波和噪声电压的措施

如何为开关电源选择合适的电感

输入电容(1)

输入电容(2)

通信电源为甚是-48V

boot电容(自举电容)的工作原理

BUCK/BOOST电路原理分析

开关电源的电流采样

恒流源周边元器件的选择方法

消除Buck电源转换器中的EMI问题

特斯拉线圈的原理及制作方法

电源效率测试

离线式电源 是 什么鬼?

非隔离式开关电源PCB布局设计技巧

“正激”与“反激”的区别

电源——智能电表:采用 LM5017 实现 1‰纹波输出

螺旋线圈输出电感在低压大电流DC-DC转换器中的应用

开关电源的电流采样

经典好文——电源的测量


1.3   线性电源

LDO环路稳定性及其对射频频综相噪的影响

设计LDO不得不考虑的因素

利用RC网络降低可调节LDO输出噪声

电源——设计LDO不得不考虑的因素

电源——LDO环路稳定性及其对射频频综相噪的影响


1.4    电池

锂电池过充电、过放电、短路保护电路详解

如何预防手机电池爆炸?(其实不只是 三星Note7)

如何让电路的心脏——“电源”更可靠

特斯拉为何选用18650电池

锂电池为什么不能过充,过放?


1.5     电源保护

电源缓启动原理

防反接保护电路

电源的缓启动电路设计及原理  (诺基亚西门子版本)

米勒平台的形成原理


1.6 PoE

PoE关键技术

PoE技术1——POE供电原理

PoE技术2——反向PoE供电技术

PoE技术3——中跨及四对线技术减少网络能耗

PoE技术4——凌特的PoE++标准

PoE技术5——以太网供电交换机产品分析及解决方案

PoE技术6——POE供电网线要求

PoE技术7——供电的特性参数


PoE——美信PoE+电路为用电设备(PD)提供13W至70W功率


1.7 电源环路稳定性

电源环路稳定性评价方法

环路补偿很容易(1、2、3)

环路补偿很容易 (4、5、6)

右半平面零点的物理实质

极点是男人,零点是女人



1.8 无线充电技术

无线充电技术介绍


2、时钟类

为什么晶振不集成到芯片内部去呢?

几种特殊的晶体振荡器

时钟1:定时决定一切:如何测量附加抖动

时钟2——定时决定一切:如何使用部分 PLL 创建调制波形

时钟3——定时决定一切:抖动技术规范

为什么晶振的频率是32.768kHz?

为什么32.768kHz的晶振封装这么另类?


3、处理器类

处理器系列(1)——CISC和RISC对比

处理器系列(2)——MIPS

处理器系列(3)——ARM的商业模式

处理器系列(4)——冯洛伊曼结构 VS 哈佛结构

处理器系列 (5)——CPU流水线

处理器系列(6)——X86

处理器系列(7)——寻址空间

处理器系列(8)——PowerPC介绍

处理器系列(9)——PowerPC指令集

处理器系列(10)——为什么 CPU 只用硅做,而不用能耗更低的锗做?

处理器系列(11)——PowerPC为什么会没落?

处理器系列(12)——线程,进程,程序的区别

处理器系列(13)——多核处理器骗局

处理器系列(14)——ARM MMU工作原理剖析

英特尔i9处理器

谷歌与高通联合开发ARM服务器,挑战英特尔

性能比ARM高,但功耗比它低,关键还免费!这款处理器牛!

英特尔i9处理器

GPU和CPU对比

Intel如何有底气让ARM阵营倒戈

硬件匆匆那年之CPU篇

为什么日本的CPU比中国强那么多?

【入门篇】认识手机处理器


GPU

显卡的前世今生


4、存储器类

关于存储速率落后整个时代的 思考感悟

4.1 SSRAM


4.2 SDRAM、DDR、DDR2、DDR3、DDR4

DDR硬件设计要点

DDR4与DDR3区别解析

一条内存通吃DDR3/4——绝妙创意还是只是创意?

DDR4设计概述以及分析仿真案例

容量大速度快还不发烧?

SDRAM的 预充电(Precharge)和 刷新(Refresh)

DDR布线规则与过程

How old DDR

对DDR2中总线时序附加延迟(AL)的理解

内存基础知识(一)——分类

内存基础知识(二)——刷新

一个月精通内存(三)——SDRAM管脚

一个月精通内存(四)——BANK

DDR硬件设计要点

DDR4容量大速度快还不发烧?

不是所有牛奶都叫特仑苏,不是所有的DDR3走线都支持Fly-By

一条内存通吃DDR3/4——绝妙创意还是只是创意?


4.3 Flash

UFS  VS  eMMC——华为P10的“闪存门”

SSD硬盘数据丢失,恢复难么?


4.4 硬盘

硬盘基础知识(1)物理结构

硬盘基础知识(2)磁盘的分区

硬盘基础知识(3)SDD硬盘


4.5 其他

比NAND速度快1000倍以上,寿命是NAND的1000倍以上



5、信号完整性

预加重、去加重和均衡

眼图背后的故事【明眸】与【蜂腰】

信号完整性100条经验规则

高速电路设计/信号完整性的一些基本概念

高速信号是否需要走圆弧布线

信号完整性不好的原因

面向USB3.0的高效静电防护解决方案兼具完美的信号完整性

信号完整性工程师必须掌握的9大招

串扰和反射能让信号多不完整?

信号抖动的分类与测量

PCB设计总有几个阻抗没法连续的地方,怎么办?

PCB板材参数提取

PCB的阻抗控制

串扰和反射能让信号多不完整?

传输线及其特征阻抗

互感--连接器如何引起串扰

仿真——你忽略了开发中的这个环节,所以导致你总改板!

为什么PCB走线中避免出现锐角和直角?

高速数字电路“接地”要点

信号抖动的分类与测量

高速信号走线规则

高速信号布线的技巧

高速信号抖动测试解决方案

高速信号分析的几个基本问题

特征阻抗那点事

阻抗控制的走线细节举例

SI——PCB中的平面跨分割

【高速互连设计技术】预加重、去加重和均衡

从有源晶振的输出匹配电阻说起,彻底搞懂SI的基本原理

阻抗不匹配,信号反射叠加的过程


电源完整性

电源完整性与地弹噪声的高速PCB仿真

电源完整性测量对象和测量内容

认识地弹

电源完整性设

电源完整性设计2

电源完整性设计3


6、射频

手机天线测试的主要参数与测试方法(以GSM为例)

设计射频和微波电路,这些技巧你得懂

分立器件等效模型

趋肤效应

说历史故事,理解麦克斯韦方程组

传输线理论基础

射频电路设计要点

从容面对“史密斯圆图”

矢量调制分析基础

射频电路的电源设计要点

LoRa 凭什么传的远、信噪比高、误码率低?

射频电路设计PCB审查checklist

关于介电常数射频电路设计者需要知道些什么?

想搞清楚“麦克斯韦方程组”,你得先懂这个。

如何让你家里的wifi速度更快

天线的分类

简介相控阵雷达

连续波多普勒雷达

最好的天线基础知识

矢量调制分析基础

【一起来玩ble+zigbee+6lowpan!】——TI-CC26xx硬件设计

高精度室内定位技术——UWB

LoRa VS NB-IoT

全流程的2.4G天线设计指南

GPS 对比 北斗

NB-IoT详细解读

WiFi的十大常见误解

ZigBee和6LoWPAN在物联网中应用对比

ZIGBEE中Profile、Cluster和Attribute关系

BLE简介和Android BLE编程

蓝牙低功耗BLE选型

蓝牙天线设计

惊悚:免费wifi盗取用户照片如此简单

趣说蓝牙低功耗协议栈

射频走线与地的那点事儿

史上最全的电磁波谱

兵家必争之地 四种无线视频技术浅析


7、音频

音箱的箱体为什么一般选择“密度板”?不用实木、金属和塑料?

四张动图带你看懂音箱的工作原理


8、逻辑类

可编程逻辑器件概述

可编程逻辑之独孤九剑(1)

可编程逻辑之独孤九剑(2)

FPGA工作原理与简介

FPGA设计方法概论

随机误码模拟方法浅谈

薛定谔猫 与  建立保持时间

为什么会有建立时间(Setup Time)和保持时间(Hold Time)?

亚稳态分析

FPGA工作原理与简介

PLD/FPGA 结构与原理初步

CPLD、FPGA加载原理

门控时钟

如何采用门控时钟来设计低功耗时序电路

FPGA设计方法概论

verilog中阻塞赋值和非阻塞赋值的区别

衍生时钟和门控时钟

亚稳态分析

13条FPGA基础知识,构建你的“逻辑观”(上)

13条FPGA基础知识,构建你的“逻辑观”(中)

13条FPGA基础知识,构建你的“逻辑观”(下)


用什么加速“深度学习”

深度学习的三种硬件方案:ASIC,FPGA,GPU;你更看好?

硬件工程师是不是越老越吃香?

让ARM穿上FPGA的马甲,会演一出什么好戏?——Zynq

FPGA项目的执行需要规范体系,代码规范只是一个组成部分

超级云计算:FPGA的大好机会

异构计算的发展

用Zynq SoC实现高效比特币矿机系统

浅度学习一下《深度学习Deep Learning》

为什么CPU主频比FPGA快那么多,但是却说FPGA可以加速?

可编程逻辑培训(1)——基本逻辑单元设计

可编程逻辑培训(2)——亚稳态概述

可编程逻辑培训(3)——数字电路的模拟特性

可编程逻辑培训(4)——Verilog 语言基础

可编程逻辑培训(5)——流水线

可编程逻辑培训(6)——衍生时钟和门控时钟


芯片

从沙子到芯片,Intel英特尔处理器制作过程


9、分立器件

分立器件ABC——硬件十万个为什么 精品培训

9.1  运放

如何测量输入偏置电流Ib,失调电流Ios

输入偏置电流和输入失调电流

关于运放的共模抑制比的思考

集成运算放大器理论及其应用

运放电路PCB设计技巧

运算放大器理论及其应用

如何预防运放自激

带反馈运放电路的稳定性标准

关于单电源运放应用

如何估算多级放大器的频宽

微弱信号放大技巧

运放PCB设计技巧

高速印制电路板(PCB)布线实践指南

如何抑制直接耦合放大电路中零点漂移

运算放大器容性负载驱动问题

实际运放的参数

输入阻抗

运放相位(频率)补偿电路设计

单片机如何用AD测量不共地电压?

如何降低运放电路中的电源噪声

单电源运放图集(中文翻译)

运放中不使用引脚正确处理方式

PSRR

模拟信号的隔离

9.2电容

SP-Cap聚合物铝电容

短命的铝电解电容 寿命计算

陶瓷电容耐压不良失效分析

陶瓷电容失效分析

满眼的都是裂纹——贴片电容主要失效原因

为什么你的4.7uF电容变成0.33uF

电容爆炸,不一样的烟火

电容的分类

电容器的作用

常用电容器主要参数与特点

Polymer电容

电容在电源设计中的不可或缺

电解电容的原理搞清楚,石墨烯做超级电容的价值也就清楚了

石墨烯超级电容器研究进展

石墨烯超级电容器

铝电解电容器生产工艺流程

通过激光穿射的聚合物制成的石墨烯微型超级电容器

超级电容组充电解决大电容充电方案

特斯拉瓶颈有解:石墨烯助超级电容器取代锂电池

什么场景下一定要选"钽电容"?

为什么尽量不选“钽电容”?

钽电容的降额设计

通过激光穿射的聚合物制成的石墨烯微型超级电容器

电容器的串并联后的额定电压

MLCC噪声啸叫及对策

铝电解电容为什么不能承受反向电压

分立器件——SP-Cap聚合物铝电容

分立器件——贴片陶瓷电容最主要的失效模式断裂

关于“陶瓷电容”,你不知道的事情


9.3继电器

电磁继电器常见失效模式、失效原因及失效机理

分立器件----继电器


9.4电感

电感的失效分析

电感知识整理

电感的应用与分类

功率电感器的额定电流为什么有两种?

磁珠和电感的不同作用

电感的降额规范

电感的分类及作用

电感的Q值

功率电感器的额定电流为什么有两种?

电感啸叫

磁珠和电感的不同作用


变压器工作原理结构图(用途-分类-性能参数)

网络变压器




9.5电阻

电阻器常见的失效模式与失效机理

如何正确的使用压敏电阻

空气中的硫很“6”,会杀死你的电阻

关于上下拉电阻的探究

片式厚膜电阻器电极断裂开路

电阻可靠性相关的参数

电阻器常见的失效模式与失效机理


9.6舵机

舵机的原理和控制


9.7二极管

TVS 管性能及选型

二极管的雷区


9.8磁珠

磁珠选型规范


9.9 ADC

关于ADC噪声系数那些你不知道的事

ADC分辨率与精度的区别

为什么需要抗混叠滤波?


9.10三极管

H桥电路

如何使得三极管输出波形的边沿变得陡峭(加速电容)


9.11 MOSFET

MOSFET选型注意事项及应用实例

MOSFET结构及其工作原理详解

理解功率MOSFET的RDS(ON)温度系数特性

MOSFET击穿的2种原因及对策分析


9.12LED

找个男朋友换灯泡?你用上LED之后只能找硬件工程师了(系列2)


9.12其他

电磁阀工作原理及控制电路设计

电动机的堵转

其实“看门狗”是个错误的翻译



10、接口类

为什么串行接口比并行接口快?

USB3.0案例分享

以太网MAC和PHY之间的接口总结

RS232与RS485

MIPI接口

UART、RS-232、RS-422、RS-485

串口线传输距离

I2C与SMBus之间的差异

一张图囊获所有电脑接口,值得收藏


11、传感器

心率检测,干扰去除案例分享

手势识别技术,雷声大雨点小

把手机绑在狗狗身上,真的可以计步么?

红外线人体感应器的工作原理及电路设计

四种温度传感器类型的优点与缺点

陀螺仪工作原理

加速度传感器原理

Time of Flight(飞行时间技术)——三维手势识别

智能传感器MEMS 交流材料分享

MEMS传感器是神马?

MEMS手机摄像头

MEMS传感器推动汽车主动安全系统应用

电容感应式触摸按键方案在电磁炉中的应用

脑电检测

从原理的角度让你知道,为什么iphone的指纹解锁完胜三星


12、PCB设计

怎样做一块好的PCB板

怎样进行电路板的抗干扰设计

数模混合PCB布局设计

PCB布板一些简易常用规则

PCB中的平面跨分割

PCB设计之安规规范

PCB叠层设计

PCB板时钟电路的电磁兼容设计

布局基本要领

布线基本要领

布线约束

布线注意事项

PCB 材料的分类与选择

如何防止别人抄你的PCB板?

不得不收藏的PCB的Checklist

某厂家射频PCB设计的EMC规范,供参考。

不得不知的PCB布局陷阱

PCB布局设计检视要素有哪些?

前华为互连部技术老屌丝回忆之(一)----我的IC封装设计历程

前华为互连部技术老屌丝回忆之(二)----PCB规则驱动设计

前华为互连部技术老屌丝回忆之(三)----电源完整性(PI)仿真

前华为互连部技术老屌丝回忆之(四)----跨界思维:掌握一门高效编程语言SKILL

前华为互连部技术老屌丝回忆之(五)----基础业务部CAD传输组传记

PCB小常识(1)——跨分割

PCB小常识(2)——3W原则

PCB小常识(3)——画软性PCB与刚性PCB注意点区别

PCB小常识(4)——印制电路板基板材料分类

PCB小常识(5)——走线的参考平面在哪?

PCB小常识(6)——三种PCB的特殊走线技巧

PCB小常识(7)——开关电源PCB设计小技巧

PCB小常识(8)——PCB板沉金与镀金板的区别

PCB小常识(9)——PCB设计后期检查的几大要素

PCB小常识(10)——刚柔板(软硬结合板)

PCB小常识(11)——一种小型化蓝牙印刷天线的设计

PCB小常识(12)——DDR布线规则与过程

PCB小常识(13)——USB2.0 PCB布线

PCB小常识(14)——时钟

PCB小常识(15)——PCB的可靠性设计

关于PCB布局的一个比喻

焊盘上是否可以打过孔?

PCB布局设计检视要素有哪些?

PCB生产中Mark点设计

DDR布局方法对比



13、工程类

13.1  结构设计

静静的课堂【防呆设计】

电子设备的抗振设计

电容爆炸,不一样的烟火

13.2   硬件测试

信号测量方法(1)——硬件测试必备

硬件可靠性测试设计

HASS试验——质量是研发的自尊心,HASS是自尊心卫士

HALT试验——一种会让设计师头疼的可靠性试验



13.3  工艺

制造工艺对焊盘的要求

PCB变形的原因及改善

电路板三防漆的作用

PCB 材料的分类与选择

电路板为什么大部分都是绿色的?

有铅工艺和无铅工艺的区别


13.4  EMC

气体放电管的低压直流电源的防雷设计

两张图搞懂:共模干扰和差模干扰电磁屏蔽一般可分为三种

贝尔实验室的《防雷电路设计规范》

EMC测试的那些项目,你都知道么?

TVS防护电路的典型应用

网口防雷电路设计

串行通信口防雷电路设计参考

防护电路中的元器件

EMC理论基础

射频PCB设计的EMC规范,供参考

防护电路中的元器件

EMC理论基础——收藏一下,远离EMC困扰

某厂家射频PCB设计的EMC规范,供参考。

ESD防护方法及设计要点

ESD 不经意间,你会亲手弄坏自己设计的电路板

EMC设计攻略(1)——电源电路

EMC设计攻略(2)—接口电路

EMC设计攻略(3)—时钟

EMC设计攻略(4)——PCB设计

EMC设计攻略(5)— 开关电源变压器

EMC设计攻略(6)——理论基础

拿什么保护你?我的电路


13.5  热设计

热设计基础知识——可靠性设计重要组成部分


13.6  生产

直通率是你的痛吗?


13.7 ICT

JTAG电路设计规范

ICT测试原理


13.8 


14、嵌入式软件类

闲谈嵌入式编程的复杂性

Linux无处不在 它是如何毁了微软统治世界的计划?

嵌入式封装接口闲谈

嵌入式的学习和职业发展

嵌入式中通讯协议的设计

ARM调试工具及开发环境对比

关于实时操作系统(RTOS) 的选择

嵌入式的学习和职业发展

关于嵌入式的学习和职业发展

嵌入式开发网站大全

千万别看谭浩强的书!!!!

软硬兼修(系列一)——ARM的启动代码(1):介绍

软硬兼修(系列二)——ARM的启动代码(2):AT91SAM9260启动详解

软硬兼修


15、DFx类

DFX,“X”为什么包括这么多鬼!

成熟工程师与初级工程师的差异:DFx的素养

通过DFX设计提高电子产品的质量与可靠性

P9碎屏难更换,谈“可维修性”

我可能买的是假器件



15.1可靠性

一张思维导图:可靠性设计包含这么多内容!

CCTV探秘华为可靠性实验室

器件选型时,彰显“可靠性”功底

构造可靠性模型

可靠性是设计出来的

可靠性VS成本

PCB的可靠性设计

提高可靠性最笨的办法,也是最有效的方法——冗余设计

元器件失效分析方法

元器件失效机理有哪些

从电子设备延保,谈谈可靠性

FMEA分析

电子元器件的可靠性筛选

电源的可靠性设计

什么是“5个9”(99.999%)的可靠性?

电阻可靠性相关的参数

电阻器常见的失效模式与失效机理

通过携程事件,说说保护客户数据不丢的措施。

商品延保,值得买么?——从电子设备延保,谈谈可靠性

温度与器件失效率的关系

案例

可靠性案例分享(1)——单板低温启动不成功问题

可靠性案例分享(2)——AF标准PoE模块在某设备中重启的分析

可靠性案例分享(3)谐波过高导致 UPS 辅助电源板频繁损坏

可靠性案例分享(4)多电源电路的可靠性设计案例

降额

元器件降额规范(第二部分)持续更新

元器件降额规范(第三部分)持续更新


可生产性

可生产性设计

二十一世纪什么最贵?工时(再谈可生产性设计)

直通率是你的痛吗?

衡量硬件产品成品率的指标--直通率

回流焊原理以及工艺

可安装性

当他爬上“监控立杆”时,三只步枪指向他。——研发要思考现场交付的困难


可维修性

可维修性评分为极低,surface 拆机


热插拔

硬件小百科——闩锁效应

热插拔原理和应用




16、流程类

学华为搞流程,不是万能的

没有执行力,你的流程就是一张纸

提升战斗力,从梳理流程开始

广义相对论不用搞清楚,我们来聊广义研发流程(IPD体系)

“以客户为中心”和”流程建设“

没有流程是万万不能的

IPD流程体系的基础概念


17、工具类

最常用的几种硬件设计EDA工具之比较

深入理解:热焊盘与反焊盘(Thermal Relief 及 Anti Pad)


18、硬件系统

无人机

四旋翼无人机,螺旋桨的旋转方向,你注意了么?

无人机为什么大多数是偶数螺旋桨

你玩的是无人机,还是遥控飞机?


物联网

物联网深度分析报告,去年就发布了。但是今天物联网火了


视频

H.265好在哪


机器人


智能硬件

为什么智能硬件没有哪家强?

智能硬件的伪命题

涨姿势!2014年硬件设计10大趋势

moto360拆解视频

【智能硬件】智能手环都有哪些传感器?

对讲机电路原理分析

智能胸罩的电路设计分析

纹身为什么会影响iwatch心率监测的发挥,你知道么?

iWatch拆解

开发智能手表?你得懂点手表。


网络

路由器和交换器啥区别?你知道吗


服务器

从“创客节俭风”到“高大上”——谷歌服务器的变迁


19、电路基础

《一个微弱信号的旅程》计量大学公开课

元器件选型基本原则

为什么使用正弦波作为信号分析等领域的基础?

滤波器——基本概念及工具

滤波器——利用开关电容滤波器实现抗混叠滤波

滤波器—— 一阶RC低通滤波器杂记

【逻辑电平匹配】天生一对,全靠匹配

(一)之上拉电阻与下拉电阻的应用

(二)之串联匹配电阻的应用

(三)之0欧姆电阻、磁珠、电感的应用

(四)之电容的应用

(五)之电感的应用

(六)之稳压二极管

 (七)之 整流二极管

(八)之肖特基势垒二极管

(九)之光耦的应用

 (十)未用管脚处理

逻辑电平设计规范——值得收藏,备查

加了滤波电路,结果电源纹波还变大了!

一张图让你看懂傅里叶变换


20、基本功

使用电烙铁的正确姿势

硬件工程师基本功(1)—示波器使用及原理

硬件工程师基本功(2)——万用表使用与原理


21、开源硬件

开源硬件对比

硬件开源的障碍,不只是“恐惧”与“贪婪”


22、学习华为研发系列

漫谈“华为是怎样开发硬件的”——之一概述

漫谈“华为是怎样开发硬件的”——之二归一化

漫谈华为是怎样开发硬件的——之三专题分析

漫谈华为是怎样开发硬件的——之四 器件选型

漫谈华为是怎样开发硬件的——之五白板讲解

华为是怎样开发硬件的——之六问题攻关

华为是怎样开发硬件的—— 之七开会

华为是怎样开发硬件的——之八兄弟文化

华为是怎样开发硬件的——九测试

华为是怎样开发硬件的——之十 AV分类与硬件的知识管理

华为是怎样研发的(11)——绩效管理

华为是怎么研发的(12)——Sourcing

华为是怎样研发的(13)——立项

华为是怎样研发的(14)——新员工培养
华为是怎样研发的(15)——专利保护

华为是怎样研发的(16)——如果初创公司生搬华为流程,死定了!

华为是怎么研发的(17)——组织氛围

华为是怎样研发的(18)——质量回溯

华为是怎样研发的(19)——需求跟踪

华为是怎样研发的(20)——信息安全

华为是怎么研发的(24)——归一化

华为是怎样研发的(25)——问题跟踪

华为是怎样研发的(26)——降额设计

IPD流程与硬件开发节点对应关系——华为内部未必找到这么清晰的表述

华为是怎样研发的(37)——由"华为红包助手"谈以客户为中心

华为是怎样研发的(38)——方案设计阶段的准备工作


学习华为要谨慎:小心“没有华为的命,得了华为的病”

看看HW的硬件类技术任职标准,就知道为什么要努力学习“可靠性”

你为什么想入职“华为”?

华为,真的像他们自己说的那样“专注”么?

丰田VS 华为,“精益开发”VS”IPD”——内部学习与持续改进

把“以客户为中心”思想渗透到研发细节

你们公司在学华为?那不是搞得鸡飞狗跳?

华为内部讨论如何给孙杨涨姿势?

流程是一种精神

广义研发流程(IPD体系)

像华为一样,用流程解放管理

流程管理,其实也是一种项目管理

项目经理需要get什么?

像华为一样,用流程解放管理

华为的“狼性”和“狗性”


23、研发管理

如何让开会是在讲正事,而不是瞎逼逼

P9手机连不上电脑,论版本管理的重要性

Git与SVN

如何防止项目计划拖延

项目经理需要get什么?

范围管理

“搞事情”之前,先“立项”。


24、心得与总结

如何成为一个硬件高手

做设计的时候我们能相信谁?

“大公司硬件工程师”与“小公司硬件工程师”的区别

我们真的能一直做研发,坚守到退休么?

不要混,突破人生三境,成为顶级工程师

高级硬件工程师设计电路,多想了哪几个问题?

找到你自己产品的“黄金法则”

硬件产品研发,除了电子元器件成本,还有什么成本?

在华为我是一颗螺丝钉,离开华为我只能拧拧螺丝

画电路时,你都干过哪些傻事?

工程师与工程师之间的差异只有两个字“认真”

研发工程师,应该不应该熬夜加班?

硬件开发的基本准则

如何找到解决问题的思路和方法——关于软硬件调试

什么决定了产品的逼格?

我们为什么要写文档?

什么是硬件设计?

如何提高技术水平

关于“快速学习的能力”

硬件工程师是不是越老越吃香?

硬件的自我修养

关于《学编程,还有必要上大学吗? 》

硬件工程师爱比喻

人生就像画PCB一样

关于卷积的一个血腥的讲解,看完给跪了

今天有人来问“前途”,让《硬十》陷入深深的沉思

FPGA资深FAE的经验独白

硬件工程师失眠了,他是如何感悟人生?

资深硬件工程师谈硬件设计

可怕的不是“工程师中年失业”、而是“圈养”之后的“放逐”

FPGA资深FAE的经验独白

开关电源中的小启示

深度学习的三种硬件方案:ASIC,FPGA,GPU;你更看好?

埋头干活,还要  抬头看路

写给即将填报“电子”“通信”专业的同学们

为什么这些熊孩子长大以后搞电子(弱电),不搞强电——我终于知道了

用人单位需要什么样的硬件工程师?

硬件工程师有哪些职业病?

一位把工作当生命的老人——工程师典范

一张图搞清楚“为什么硬件不只是连连线”

电路板的一生

硬件工程师的梦想

硬件工程师的鄙视链是怎样的?

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/childbor/article/details/74059039

智能推荐

el-date-picker 时间控件 重新选择时间没有更新值_el-date-picker刷新选择时间-程序员宅基地

文章浏览阅读1k次。最近项目中遇到选择时间控件不能重新赋值得问题,最后解决办法就是加上一个时间清空。这样子就解决了重新选择没有更新值问题。_el-date-picker刷新选择时间

线性回归预测PM2.5值_根据上述内容,在右侧编辑器补充线性回归代码,预测18天的 pm25 值-程序员宅基地

文章浏览阅读2.6k次,点赞4次,收藏23次。文章目录一、问题描述二、设计简要描述三、程序清单四、结果分析五、调试报告:六、实验总结一、问题描述希望用线性回归解决问题:给定某个地区连续9小时包括PM2.5在内的18项污染物每小时的数据,预测第10个小时的PM2.5的值。二、设计简要描述机器学习的三个基本步骤——程序设计思路——三、程序清单import pandas as pdimport numpy as np# 1 训练集处理# 1.1 读取训练集数据,big5是针对于文档中存在繁体字的编码train_data = pd_根据上述内容,在右侧编辑器补充线性回归代码,预测18天的 pm25 值

Spring AOP的—绍和5种通知类型的使用(详解)_3、说下对springaop的理解、有哪些通知?使用场景有哪些?(底层原理:两种动态代理)-程序员宅基地

文章浏览阅读2.6k次,点赞3次,收藏9次。java Spring AOP介绍与使用1、Aop的概念AOP的核心概念及术语切面(Aspect): 指关注点模块化,这个关注点可能会横切多个对象。事务管理是企业级Java应用中有关横切关注点的例子。 在Spring AOP中,切面可以使用通用类基于模式的方式(schema-based approach)或者在普通类中以@Aspect注解(@AspectJ 注解方式)来实现。连接点(Join point): 在程序执行过程中某个特定的点,例如某个方法调用的时间点或者处理异常的时间点。在Spring_3、说下对springaop的理解、有哪些通知?使用场景有哪些?(底层原理:两种动态代理)

单页面导航插件jquery.singlePageNav.min.js_单页导航 头插件-程序员宅基地

文章浏览阅读2.1k次。singlePageNav.min.js单页面导航插件用于优化导航效果,使锚点链接不在很生硬,达到平滑过渡的效果,优化用户体验下载地址singlePageNav.min.js1.使用方法引入jquery和singPageNav.min.js<script src="https://cdn.jsdelivr.net/npm/[email protected]/dist/jquery.min..._单页导航 头插件

Spring如何用“声明式事务”保护亿万数据安全?【万字解决并发冲突】_声明式安全-程序员宅基地

文章浏览阅读887次,点赞59次,收藏26次。Hello,你好呀,我是灰小猿,一个超会写bug的程序猿????!用坚持缔造技术、用指尖敲动未来!愿我们每一次敲动键盘,都能让生活变得更智能、世界变得更有趣!点外卖时,你只需考虑如何拼单;选择出行时,你只用想好目的地;手机支付时,你只需要保证余额充足。但你不知道这些智能的背后,是数以亿计的强大数据的支持,这就是数据库的力量。那么庞大数据的背后一定会牵扯到数据安全的问题,那这些意外和冲突又是如何解决的呢?今天我们来一探究竟????!今天这篇文章,我就来和大家讲一下在Spring框架的声明式事务中_声明式安全

hibernate连接多个数据库_winform hibernate-configuration 项目加载多个数据库-程序员宅基地

文章浏览阅读5.1k次。本文转自自http://blog.sina.com.cn/s/blog_49bf8585010004m6.html一、 Hibernate访问数据库时加载的过程对于大多数使用Hibernate的朋友来说,通常使用一下方式来获得Configuration实例: Configuration configure = new Configuration()._winform hibernate-configuration 项目加载多个数据库

随便推点

QCM2290 PM-3 fastboot不识别USB_pm4125-程序员宅基地

文章浏览阅读2.3k次。项目场景:在QCM2290 有两种型号的pm4125,这两种型号硬件上完全pin-to-pin,可以互换的。pm-2 仅支持mirco-usb。pm-3 支持typec-usb,通过软件兼容可以做到支持mirco-usb。问题描述:由于公司规划,后续项目都是使用pm-3,因此有客户提出pm-3的模块在fastboot 下无法识别mirco-usb。 原因分析:1.通过对比pm-2 mirco usb okpm-3 mirco usb failpm-3 typec u_pm4125

JavaSE之多线程_javase之多线程vip插队-程序员宅基地

文章浏览阅读142次。目录1.线程简介2.线程创建2.1 三种创建方式:2.2 继承Thread2.3 实现Runnable接口2.4 实现Callable接口1.线程简介1.多任务多任务处理是指用户可以在同一时间内运行多个应用程序,每个应用程序被称作一个任务.Linux、windows就是支持多任务的操作系统2.多线程多线程(multithreading),是指从软件或者硬件上实现多个线程并发执行的技术。3.并发和并行并发:一段时间内多条线程同时进行(逻辑上是同时的)。并行:同一时刻有多条线程进行(物理上的,如_javase之多线程vip插队

Hystrix(防止服务雪崩)--服务降级_hystrix防止服务降级的方法-程序员宅基地

文章浏览阅读141次。服务降级当某一时间,服务器压力激增的情况下,下游服务主动停掉一些不太重要的业务,释放服务资源,增加响应速度当下游服务由于某种原因不可用,上游主动调用本地的一些降级逻辑,避免卡顿,迅速返回给用户简单来说就是,假如某一时间段A服务器访问的人数激增,B一般,C几乎没人访问,那么就先停掉C服务,把资源让给A:服务降级的实现:首先我们有一个服务:@Component@FeignClient(value = "SERVICE-OBJECT-A")public interface ServiceAF_hystrix防止服务降级的方法

云计算 ——虚拟化认识_虚拟化在计算机科学中意味着什么?虚拟化如何推动云计算?-程序员宅基地

文章浏览阅读1.2k次。云计算 ——虚拟化认识虚拟化概述 :计算机虚拟化是一个对整个信息产业有突破性的信息技术 ,是云计算的核心技术虚拟化在云计算上面的意义 :每一个应用部署的环境和物理平台是没有关系的,通过虚拟平台进行管理,扩展,迁移,备份 种种操作都通过虚拟化层次完成服务器虚拟化 :采用服务器虚拟化可以减少服务器的数量,简化服务器管理,同时提高服务器效率,网络灵活性和可靠性桌面虚拟化 :是将桌面的软件进行虚拟化改造的技术应用虚拟化 :将关键应用计算从用户设备中分离出来,通过集中化技术改善了控制力和安全性 从而提高关_虚拟化在计算机科学中意味着什么?虚拟化如何推动云计算?

大众点评数据分析_大众点评全国美食数据分析-程序员宅基地

文章浏览阅读5.6k次,点赞6次,收藏45次。大众点评数据分析项目完整流程(一)项目背景在大学的时候,每天都要面对一个世纪难题所困扰,那就是:中午吃什么?晚上吃什么?于是乎突发奇想,就想要统计一下全国一二线城市美食店的总体水平,吃不到也要看看别人家的餐桌摆的都是什么,哪些精品餐厅我还不知道,酒香不怕巷子深,我要把你们统统挖出来。(二)项目介绍本项目主要是对大众点评中全国范围内一二线城市美食信息进行分析,暂时不考虑其它线的城市。整个项目..._大众点评全国美食数据分析

万字长文爆肝Python基础入门【第二弹、超详细数据类型总结】_>>> letters=['a','b', 'c', 'a'] >>> letters. remov-程序员宅基地

文章浏览阅读6.9k次,点赞129次,收藏490次。目录一、建立一个数据火车——列表1、创建列表2、列表元素的获取3、列表元素的添加4、列表元素的删除5、列表元素的修改二、列表的亲兄弟——元组1、创建元组2、元组元素的获取3、元组和列表的差别三、数据中的魔术师——字符串1、创建字符串2、字符的获取3、字符串的处理4、字符转义5、原始字符串6、多行字符串7、列表、元组、字符串的通用操作8、列表、元组、字符串总结四、索引存储不再麻烦——字典1、创建字典2、字典键值对的添加_>>> letters=['a','b', 'c', 'a'] >>> letters. remove('a') >>> print(letters)

推荐文章

热门文章

相关标签