实现串并数据CRC校验的四种Verilog_crc verilog 串行计算-程序员宅基地

技术标签: fpga  

目录

我最推荐最后一种。

1CRC原理

2.实现CRC校验的四种程序

2.1并行实现   2.1.1模二除法实现CRC   2.1.2线性反馈移位寄存器LFSR实现CRC

2.2处理串行数据   2.2.1模二除法实现CRC   2.2.2线性反馈移位寄存器LFSR实现CRC


1、CRC原理

2运算原理

2加法:不考虑进位,按位加=按位异或---等价于---2减法:不考虑借位,按位减=按位异或
2乘法:在将各个位乘的结果相加时按照模2加法来做
2除法:每一步商1后,减法使用的是模2减法
下面的模2除法参考[1]

      1 0 1 1     //

---------------

1 1 1 1 0 0 0     //被除数,注意首位为1

1 1 0 1           //被除数首位为1,除以除数

---------------

  0 1 0 0 0 0     //余数去掉首位,作为新的被除数

  0 0 0 0         //被除数首位为0,除以0,商的第二位为0

---------------

    1 0 0 0 0     //余数去掉首位,作为新的被除数

    1 1 0 1       //被除数首位为1,除以除数 

---------------

      1 0 1 0     //余数去掉首位,作为新的被除数

      1 1 0 1     //被除数首位为1,除以除数

---------------

      0 1 1 1     //余数,此时余数位数少于除数,不能继续除了(忽略首位0)

CRC校验码的工作原理:将所要传输的信息称为被除数,模2除以一个收发双方都知道的特定的除数,将得到的余数拼接到被除数尾部,然后发送这个拼接后的数,接收方收到这个数后同样除以除数,如果收到的信息正确那么余数应该为0,否则收到的信息是错误的。所以称为CRC有校验(检错)的功能,但不能纠错。

上述中得到的余数称为CRC冗余校验码。

上述特定的除数都是有标准的,一般用生成多项式表示,且多项式是有标准的,生成多项式的选取是个很有难度的问题,如果选的不好,那么检出错误的概率就会低很多。好在这个问题已经被专家们研究了很长一段时间了,对于我们这些使用者来说,只要把现成的成果拿来用就行了。例如:

https://img-blog.csdnimg.cn/20190608214250957.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3FxXzM0MDcwNzIz,size_16,color_FFFFFF,t_701 常见的CRC多项式

 

2.实现CRC校验的四种程序

2.1并行实现

2.1.1模二除法实现CRC

多项式CRC=x16+x12+x5+x0,若使用CRC(循环冗余校验)在线计算,注意应该选择CRC-16/XMODEN,而不是CRC-16/CCITT,如图2,按照原理其输入值不反转即FALSE,输出值也是。(我找到的程序是这样实现的,我开始选择的时候选错了选的CRC-16/CCITT,导致在线计算结果与程序结果不一致而怀疑人生,还是粗心哈哈)

https://img-blog.csdnimg.cn/20190609203842314.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3FxXzM0MDcwNzIz,size_16,color_FFFFFF,t_702 同一种多项式有多种使用方法

下面是Verilog代码,其是对输入的并行数据进行计算CRC,但是用的是时序串行计算的方法,需要8clk才计算出结果。

/*************************按照原理实现方法**************************/

module CRC_Gen(

    input clk,

    input rst_n,

    input [7:0] data,

    input data_valid,

    output reg [15:0] crc

    );

   

    reg[23:0]temp=0;

    parameter polynomial=17'b1_0001_0000_0010_0001;

   

   

 always @ (posedge clk or negedge rst_n)

 begin

    if(!rst_n)

    begin

        crc<=0;

        temp<={data,16'b0};//复位时,将初始数据放入寄存器

    end

    else if(data_valid)

    begin

             if(temp[23]) temp[23:7]<=temp[23:7]^polynomial;

        else if(temp[22]) temp[22:6]<=temp[22:6]^polynomial;

        else if(temp[21]) temp[21:5]<=temp[21:5]^polynomial;

        else if(temp[20]) temp[20:4]<=temp[20:4]^polynomial;

        else if(temp[19]) temp[19:3]<=temp[19:3]^polynomial;

        else if(temp[18]) temp[18:2]<=temp[18:2]^polynomial;

        else if(temp[17]) temp[17:1]<=temp[17:1]^polynomial;

        else if(temp[16]) temp[16:0]<=temp[16:0]^polynomial;

        else   crc<=temp[15:0];  

    end  

 end

      

endmodule

 

/***********************testbench******************************/

module CRC_Gen_tb;

 

reg clk;

reg rst_n;

reg [7:0]data;

reg data_valid;

 

wire [15:0]crc;

 

initial

begin

    clk=0;

    rst_n=0;

    data=8'b10110110;data_valid=1;//复位时,将初始数据放入寄存器

    #100 rst_n=1;

  

    #500 rst_n =0;

    data =8'b01001100; data_valid=1;

    #300 rst_n =1;

  

    #500 rst_n =0;

    data =8'b10110011;data_valid=1;

    #300 rst_n =1;

  

    #500 rst_n =0;

    data =8'b01001001; data_valid=1;    

    #300 rst_n =1;

   

    #500 rst_n =0;

    data =8'b10101010;data_valid=1;

    #300 rst_n =1;

end

 

 CRC_Gen   U0(

   .clk(clk),

   .rst_n(rst_n),

   .data(data),

   .data_valid(data_valid),

   .crc(crc)

    );

endmodule

仿真结果(需要多次复位,把数据载入):

https://img-blog.csdnimg.cn/20190610095343904.png

2.1.2线性反馈移位寄存器LFSR实现CRC

还是多项式CRC=x16+x12+x5+x0,对应如图3,至于为什么这么实现,大概可以理解为把输出输出每一位拆开计算,其中的推导比较复杂(我看了好久看的不是很懂,最后得出一个结论,就是记住结论即可哈哈)

https://images0.cnblogs.com/blog/470909/201212/25213335-91947f4532c549f987aed0a16a7a0c65.jpg3

输入数据是并行的,以下做到了在一个时钟周期内算出CRC校验码[3]

参考来自基于FPGACRC校验码生成器。如果用时序电路串行实现,则8 bit数据要移位8次,就需要8clk,效率低下,为了能在一个时钟周期输出结果,必须采用组合电路,当然,这是以空间换时间的方法,由于使用了for循环8次,直观的讲电路规模将扩大8倍。for语句循环几次,就是将相同的电路复制几次,因此循环次数越多,占用面积越大。

/*************************LFSR实现方法**************************/

module CRC_Gen(

    input            rst_n,     /*async reset,active low*/

    input            clk,     /*clock input*/

    input     [7:0]  data, /*parallel data input pins */

    input            data_valid, /* data valid,start to generate CRC, active high*/

    output reg[15:0] crc

);

 

integer i;

reg feedback;

reg [15:0] crc_tmp;

/*

*  sequential process

*/

always @(posedge clk or negedge rst_n)

begin

    if(!rst_n)

        crc <= 16'b0;          /*触发器中的初始值十分重要 */

    else if(data_valid==1'b0)

        crc <= 16'b0;

    else

        crc <= crc_tmp;

end

 

/*

*   combination process

*/

always@( data or crc)

begin

    crc_tmp = crc;

    for(i=7; i>=0; i=i-1)

    begin

        feedback    = crc_tmp[15] ^ data[i];

        crc_tmp[15]  = crc_tmp[14];

        crc_tmp[14]  = crc_tmp[13];

        crc_tmp[13]  = crc_tmp[12];

        crc_tmp[12]  = crc_tmp[11] ^ feedback;

        crc_tmp[11]  = crc_tmp[10] ;

        crc_tmp[10]  = crc_tmp[9];

        crc_tmp[9]   = crc_tmp[8];

        crc_tmp[8]   = crc_tmp[7];

        crc_tmp[7]   = crc_tmp[6];

        crc_tmp[6]   = crc_tmp[5];

        crc_tmp[5]   = crc_tmp[4] ^ feedback;

        crc_tmp[4]   = crc_tmp[3];

        crc_tmp[3]   = crc_tmp[2];

        crc_tmp[2]   = crc_tmp[1];

        crc_tmp[1]   = crc_tmp[0];

        crc_tmp[0]   = feedback;

     end

end

 

endmodule

 

/************************testbench**************************/

initial

begin

    clk=0;

    rst_n=0;

    data=8'b0;

    data_valid=0;

    #100 rst_n=1;

    data =8'b10110110;      data_valid=1;#10 data_valid=0;

    #100 data =8'b01001100; data_valid=1;#10 data_valid=0;

    #100 data =8'b10110011; data_valid=1;#10 data_valid=0;

    #100 data =8'b01001001; data_valid=1;#10 data_valid=0;     

    #100 data =8'b10101010; data_valid=1;#10 data_valid=0;

end

 

always #5 clk=~clk;

 

 CRC_Gen   U0(

   .clk(clk),

   .rst_n(rst_n),

   .data(data),

   .data_valid(data_valid),

   .crc(crc)

    );

endmodule

仿真结果正确,如图

https://img-blog.csdnimg.cn/20190610094823346.png

2.2处理串行数据

2.2.1模二除法实现CRC

1)使用定义实现CRC代码,使用数据32'b96E32077,第一个always将数据串行化,第二个always里面进行CRC模二除法运算。

module CRC_GenSerial(

   input clk,

   input rst_n,

   output [15:0] crc

    );

   

    reg [31:0]data_parallel;

    reg data_serial;

    reg [5:0]cnt;

   

    reg [16:0]tmpcrc;

   

    parameter source_data=32'h96E32077;

    parameter polynomial=17'b1_0001_0000_0010_0001;

 

   assign crc=tmpcrc[15:0];

   

always @ (posedge clk or negedge rst_n)

begin

    if(!rst_n)

    begin

        data_parallel<=source_data;

        data_serial<=0;

    end

    else if(cnt<32)

    begin

        data_serial<=data_parallel[31];

        data_parallel<=data_parallel<<1;   

    end

    else

    begin

        data_serial<=0;

        data_parallel<=0;

    end

end

 

always @ (posedge clk or negedge rst_n)

begin

    if(!rst_n)

    begin

       tmpcrc<=0;

       cnt<=0

    end

    else

    begin

       

        if(cnt<=16) //17bit then xor

        begin

            cnt<=cnt+1;

            tmpcrc<={tmpcrc[15:0],data_serial};

        end

        else if((cnt>=17)&&(cnt<=32))

        begin

            cnt<=cnt+1;

            if(tmpcrc[15]) // if =1 XOR polynomial

                tmpcrc<={tmpcrc[15:0],data_serial}^polynomial;

            else           // if =0 XOR 0

                tmpcrc<={tmpcrc[15:0],data_serial};

        end

        else if((cnt>=33)&&(cnt<=48)) //add 0 at tail

        begin

           cnt<=cnt+1;

           if(tmpcrc[15])

                tmpcrc<={tmpcrc[15:0],1'b0}^polynomial;

           else

                tmpcrc<={tmpcrc[15:0],1'b0};

        end

        else

        begin

           cnt<=cnt;

           tmpcrc<=tmpcrc;

        end          

    end

end  

  

endmodule

https://img-blog.csdnimg.cn/20190614160034251.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3FxXzM0MDcwNzIz,size_16,color_FFFFFF,t_70定义实现串行数据的CRC校验结果

https://img-blog.csdnimg.cn/20190614160158569.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3FxXzM0MDcwNzIz,size_16,color_FFFFFF,t_70使用CRC计算器验证正确

2.2.2线性反馈移位寄存器LFSR实现CRC

使用数据32'b96E32077,第一个always将数据串行化,第二个always里面使用LFSR,更简洁明了。注意cnt值的控制。

module CRC_GenSerial(

   input clk,

   input rst_n,

   output reg [15:0] crc

    );

   

    reg [31:0]data_parallel;

    reg data_serial;

    reg [5:0]cnt;

   

    parameter source_data=32'h96E32077;

   

always @ (posedge clk or negedge rst_n)

begin

    if(!rst_n)

    begin

        cnt<=0;

        data_parallel<=source_data;

        data_serial<=0;

    end

    else if(cnt<32)

    begin

        cnt<=cnt+1;

        data_serial<=data_parallel[31];

        data_parallel<=data_parallel<<1;   

    end

    else

    begin

        cnt<=33;

        data_serial<=0;

        data_parallel<=0;

    end

end

 

always @ (posedge clk or negedge rst_n)

begin

    if(!rst_n)

    begin

        crc<=0;

    end

    else if(cnt<=32)

    begin

        crc[0]<=crc[15]^data_serial;

        crc[4:1]<=crc[3:0];

        crc[5]<=crc[4]^crc[15]^data_serial;

        crc[11:6]<=crc[10:5];

        crc[12]<=crc[11]^crc[15]^data_serial;

        crc[15:13]<=crc[14:12];

    end

    else

        crc<=crc;  

end  

  

endmodule

https://img-blog.csdnimg.cn/20190614165432507.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3FxXzM0MDcwNzIz,size_16,color_FFFFFF,t_70使用LFSR实现串行数据的CRC计算(推荐使用)

参考资料

[1]2除法(CRC校验码计算)

[2]2运算

[3]CRC算法原理及其Verilog实现

 

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/piracymonk/article/details/113929998

智能推荐

什么是内部类?成员内部类、静态内部类、局部内部类和匿名内部类的区别及作用?_成员内部类和局部内部类的区别-程序员宅基地

文章浏览阅读3.4k次,点赞8次,收藏42次。一、什么是内部类?or 内部类的概念内部类是定义在另一个类中的类;下面类TestB是类TestA的内部类。即内部类对象引用了实例化该内部对象的外围类对象。public class TestA{ class TestB {}}二、 为什么需要内部类?or 内部类有什么作用?1、 内部类方法可以访问该类定义所在的作用域中的数据,包括私有数据。2、内部类可以对同一个包中的其他类隐藏起来。3、 当想要定义一个回调函数且不想编写大量代码时,使用匿名内部类比较便捷。三、 内部类的分类成员内部_成员内部类和局部内部类的区别

分布式系统_分布式系统运维工具-程序员宅基地

文章浏览阅读118次。分布式系统要求拆分分布式思想的实质搭配要求分布式系统要求按照某些特定的规则将项目进行拆分。如果将一个项目的所有模板功能都写到一起,当某个模块出现问题时将直接导致整个服务器出现问题。拆分按照业务拆分为不同的服务器,有效的降低系统架构的耦合性在业务拆分的基础上可按照代码层级进行拆分(view、controller、service、pojo)分布式思想的实质分布式思想的实质是为了系统的..._分布式系统运维工具

用Exce分析l数据极简入门_exce l趋势分析数据量-程序员宅基地

文章浏览阅读174次。1.数据源准备2.数据处理step1:数据表处理应用函数:①VLOOKUP函数; ② CONCATENATE函数终表:step2:数据透视表统计分析(1) 透视表汇总不同渠道用户数, 金额(2)透视表汇总不同日期购买用户数,金额(3)透视表汇总不同用户购买订单数,金额step3:讲第二步结果可视化, 比如, 柱形图(1)不同渠道用户数, 金额(2)不同日期..._exce l趋势分析数据量

宁盾堡垒机双因素认证方案_horizon宁盾双因素配置-程序员宅基地

文章浏览阅读3.3k次。堡垒机可以为企业实现服务器、网络设备、数据库、安全设备等的集中管控和安全可靠运行,帮助IT运维人员提高工作效率。通俗来说,就是用来控制哪些人可以登录哪些资产(事先防范和事中控制),以及录像记录登录资产后做了什么事情(事后溯源)。由于堡垒机内部保存着企业所有的设备资产和权限关系,是企业内部信息安全的重要一环。但目前出现的以下问题产生了很大安全隐患:密码设置过于简单,容易被暴力破解;为方便记忆,设置统一的密码,一旦单点被破,极易引发全面危机。在单一的静态密码验证机制下,登录密码是堡垒机安全的唯一_horizon宁盾双因素配置

谷歌浏览器安装(Win、Linux、离线安装)_chrome linux debian离线安装依赖-程序员宅基地

文章浏览阅读7.7k次,点赞4次,收藏16次。Chrome作为一款挺不错的浏览器,其有着诸多的优良特性,并且支持跨平台。其支持(Windows、Linux、Mac OS X、BSD、Android),在绝大多数情况下,其的安装都很简单,但有时会由于网络原因,无法安装,所以在这里总结下Chrome的安装。Windows下的安装:在线安装:离线安装:Linux下的安装:在线安装:离线安装:..._chrome linux debian离线安装依赖

烤仔TVの尚书房 | 逃离北上广?不如押宝越南“北上广”-程序员宅基地

文章浏览阅读153次。中国发达城市榜单每天都在刷新,但无非是北上广轮流坐庄。北京拥有最顶尖的文化资源,上海是“摩登”的国际化大都市,广州是活力四射的千年商都。GDP和发展潜力是衡量城市的数字指...

随便推点

java spark的使用和配置_使用java调用spark注册进去的程序-程序员宅基地

文章浏览阅读3.3k次。前言spark在java使用比较少,多是scala的用法,我这里介绍一下我在项目中使用的代码配置详细算法的使用请点击我主页列表查看版本jar版本说明spark3.0.1scala2.12这个版本注意和spark版本对应,只是为了引jar包springboot版本2.3.2.RELEASEmaven<!-- spark --> <dependency> <gro_使用java调用spark注册进去的程序

汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用_uds协议栈 源代码-程序员宅基地

文章浏览阅读4.8k次。汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用,代码精简高效,大厂出品有量产保证。:139800617636213023darcy169_uds协议栈 源代码

AUTOSAR基础篇之OS(下)_autosar 定义了 5 种多核支持类型-程序员宅基地

文章浏览阅读4.6k次,点赞20次,收藏148次。AUTOSAR基础篇之OS(下)前言首先,请问大家几个小小的问题,你清楚:你知道多核OS在什么场景下使用吗?多核系统OS又是如何协同启动或者关闭的呢?AUTOSAR OS存在哪些功能安全等方面的要求呢?多核OS之间的启动关闭与单核相比又存在哪些异同呢?。。。。。。今天,我们来一起探索并回答这些问题。为了便于大家理解,以下是本文的主题大纲:[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-JCXrdI0k-1636287756923)(https://gite_autosar 定义了 5 种多核支持类型

VS报错无法打开自己写的头文件_vs2013打不开自己定义的头文件-程序员宅基地

文章浏览阅读2.2k次,点赞6次,收藏14次。原因:自己写的头文件没有被加入到方案的包含目录中去,无法被检索到,也就无法打开。将自己写的头文件都放入header files。然后在VS界面上,右键方案名,点击属性。将自己头文件夹的目录添加进去。_vs2013打不开自己定义的头文件

【Redis】Redis基础命令集详解_redis命令-程序员宅基地

文章浏览阅读3.3w次,点赞80次,收藏342次。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。当数据量很大时,count 的数量的指定可能会不起作用,Redis 会自动调整每次的遍历数目。_redis命令

URP渲染管线简介-程序员宅基地

文章浏览阅读449次,点赞3次,收藏3次。URP的设计目标是在保持高性能的同时,提供更多的渲染功能和自定义选项。与普通项目相比,会多出Presets文件夹,里面包含着一些设置,包括本色,声音,法线,贴图等设置。全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,主光源和附加光源在一次Pass中可以一起着色。URP:全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,一次Pass可以计算多个光源。可编程渲染管线:渲染策略是可以供程序员定制的,可以定制的有:光照计算和光源,深度测试,摄像机光照烘焙,后期处理策略等等。_urp渲染管线