复数混频发射机原理与仿真_实数混频和复数混频-程序员宅基地

技术标签: 雷达  混频  复数混频  

1、复数混频发射机原理

        上图采用的是上变频复数混频的原理图。IQ链路有自己的混频支路,本振LO功分两路,两路的相位相差90°,两个独立输出随后在求和放大器中求和,产生所需的RF输出。

        若只输入I路信号x或者Q路信号x,那么输出只会产生LO频率±x的输出;另一路没有输入,输出为静音,最终结果为有信号的一路直接称为RF输出。乍一看没啥差异,其实上面两幅图中有一个相位差异在里面。

        上图可以观察到:LO频率加输入频率的信号是同相的(上边带),但LO频率减输入频率的信号是异相的(下边带)。这样的好处是这导致LO上侧的信号音相加,而下侧的信号音相消。没有任何滤波,我们便消除了其 中一个信号音(或边带),产生的输出完全位于LO频率的一侧

        上图显示了一个复数发射机实验室测试结果.左边显示了I比Q超前90°,输出信号位于LO的上测,右图显示了相反的关系,Q比I超前90°,输出信号位于LO下侧.

缺陷:

  1. 现实实验中,完全相消是不存在的,会有一些能量留在LO的另一侧,这就是镜像;
  2. LO频率的能量也是存在的,即本振存在泄露(LO泄露);

要求:IQ混频器输出的幅度一致,在LO镜像侧上彼此的相位恰好差180°。

2、仿真

采样率fs:100MHz;

本振频率lo:10MHz;

输入信号:2MHz;

2.1、常规单混频仿真(单音)

fs   = 100e6;
f_lo = 10e6;
f_in = 2e6;
B    = 6e6; % 带宽
NFFT = 2000;
MFFT = 512;
t = 0:1/fs:1/fs*(NFFT-1);
Kr = B / ( 1/fs*NFFT );
phi1 = pi/2;
snr = 15;
freq_axix = 0:fs/NFFT:fs-fs/NFFT;
%% 双边带混频 实数混频
% fin 点频 +  LO 点频 
s_in = awgn(cos(2*pi*f_in*t ), snr);
s_lo = awgn(cos(2*pi*f_lo*t ), snr);

s_mixer1 = s_in .* s_lo;
s_in_fft = fft(s_in);
s_lo_fft = fft(s_lo);
s_mixer1_fft = fft(s_mixer1);
figure;
subplot(3,1,1);plot(freq_axix(1:end/2)/1e6,db(s_in_fft(1:end/2)));title('subplot 1 : s_in','Interpreter','none');xlabel('MHz');ylabel('dB');
subplot(3,1,2);plot(freq_axix(1:end/2)/1e6,db(s_lo_fft(1:end/2)));title('subplot 2 : s_lo','Interpreter','none');xlabel('MHz');ylabel('dB');
subplot(3,1,3);plot(freq_axix(1:end/2)/1e6,db(s_mixer1_fft(1:end/2)));title('subplot 3 : mix','Interpreter','none');xlabel('MHz');ylabel('dB');
figure;
stft(s_mixer1,fs);title('混频后的 时-频相应');
% fin 扫频 +  LO 点频 
s_bw = awgn( cos(2*pi*f_in*t + pi*Kr*t.^2) , snr);
s_mixer2 = s_bw .* s_lo;

f_in_fft = fft(f_in);
s_bw_fft = fft(s_bw);
s_lo_fft = fft(s_lo);
s_mixer2_fft = fft(s_mixer2);

figure;
subplot(3,1,1);plot(freq_axix(1:end/2)/1e6,db(s_bw_fft(1:end/2)));title('信号 频谱');xlabel('MHz');ylabel('dB');
subplot(3,1,2);plot(freq_axix(1:end/2)/1e6,db(s_lo_fft(1:end/2)));title('本振 频谱');xlabel('MHz');ylabel('dB');
subplot(3,1,3);plot(freq_axix(1:end/2)/1e6,db(s_mixer2_fft(1:end/2)));title('混频之后的频谱');xlabel('MHz');ylabel('dB');
figure;
subplot(1,2,1);stft(s_bw,fs);title('混频前的 时-频相应');
subplot(1,2,2);stft(s_mixer2,fs);title('混频后的 时-频相应');

        可以看到,输入信号为2MHz,本振信号为10MHz,常规的混频结果会出现上边带12MHz(10MHz+2MHz)和下边带8MHz(10MHz-2MHz)。

         采用短时傅里叶变换后,发现出现一个正负频率,我们只看正频域,同样出现了上边带和下边带。

2.2、复数混频仿真(单音)

         从图中可以看出,输入信号和本振分别是2MHz和10MHz,通过复数混频的方法可以抑制其中一个边带,右边两幅图展现了一个上边带一个下边带的结果。

        同样,也可以通过短时傅里叶变换得到时频分析结果。

2.3、 宽带信号与单音本振混频

       常规单混频:

        产生宽带信号,带宽6MHz,起始频率2MHz,终止频率8MHz。

        复数混频:

        宽带和单音本振进行复混频,最后得到上边带或者下边带,而抑制不需要的边带信息。

        乍一看,上边带和下边带带宽一致,只有载频的不同,其实从时-频相应上来看,上边带和下边带调频斜率正好相反。

好了,关于单混频 和 复数混频的介绍就到这,欢迎关注博主博客和公众号,一起交流。

代码下载:

MixerSimu.m-电信文档类资源-CSDN文库https://download.csdn.net/download/yanchuan23/53253869

图片

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/yanchuan23/article/details/121630576

智能推荐

2022黑龙江最新建筑八大员(材料员)模拟考试试题及答案_料账的试题-程序员宅基地

文章浏览阅读529次。百分百题库提供建筑八大员(材料员)考试试题、建筑八大员(材料员)考试预测题、建筑八大员(材料员)考试真题、建筑八大员(材料员)证考试题库等,提供在线做题刷题,在线模拟考试,助你考试轻松过关。310项目经理部应编制机械设备使用计划并报()审批。A监理单位B企业C建设单位D租赁单位答案:B311对技术开发、新技术和新工艺应用等情况进行的分析和评价属于()。A人力资源管理考核B材料管理考核C机械设备管理考核D技术管理考核答案:D312建筑垃圾和渣土._料账的试题

chatgpt赋能python:Python自动打开浏览器的技巧-程序员宅基地

文章浏览阅读614次。本文由chatgpt生成,文章没有在chatgpt生成的基础上进行任何的修改。以上只是chatgpt能力的冰山一角。作为通用的Aigc大模型,只是展现它原本的实力。对于颠覆工作方式的ChatGPT,应该选择拥抱而不是抗拒,未来属于“会用”AI的人。AI职场汇报智能办公文案写作效率提升教程 专注于AI+职场+办公方向。下图是课程的整体大纲下图是AI职场汇报智能办公文案写作效率提升教程中用到的ai工具。_python自动打开浏览器

Linux中安装JDK-RPM_linux 安装jdk rpm-程序员宅基地

文章浏览阅读545次。Linux中安装JDK-RPM方式_linux 安装jdk rpm

net高校志愿者管理系统-73371,计算机毕业设计(上万套实战教程,赠送源码)-程序员宅基地

文章浏览阅读25次。免费领取项目源码,请关注赞收藏并私信博主,谢谢-高校志愿者管理系统主要功能模块包括页、个人资料(个人信息。修改密码)、公共管理(轮播图、系统公告)、用户管理(管理员、志愿用户)、信息管理(志愿资讯、资讯分类)、活动分类、志愿活动、报名信息、活动心得、留言反馈,采取面对对象的开发模式进行软件的开发和硬体的架设,能很好的满足实际使用的需求,完善了对应的软体架设以及程序编码的工作,采取SQL Server 作为后台数据的主要存储单元,采用Asp.Net技术进行业务系统的编码及其开发,实现了本系统的全部功能。

小米宣布用鸿蒙了吗,小米OV对于是否采用鸿蒙保持沉默,原因是中国制造需要它们...-程序员宅基地

文章浏览阅读122次。原标题:小米OV对于是否采用鸿蒙保持沉默,原因是中国制造需要它们目前华为已开始对鸿蒙系统大规模宣传,不过中国手机四强中的另外三家小米、OPPO、vivo对于是否采用鸿蒙系统保持沉默,甚至OPPO还因此而闹出了一些风波,对此柏铭科技认为这是因为中国制造当下需要小米OV几家继续将手机出口至海外市场。 2020年中国制造支持中国经济渡过了艰难的一年,这一年中国进出口贸易额保持稳步增长的势头,成为全球唯一..._小米宣布用鸿蒙系统

Kafka Eagle_kafka eagle git-程序员宅基地

文章浏览阅读1.3k次。1.Kafka Eagle实现kafka消息监控的代码细节是什么?2.Kafka owner的组成规则是什么?3.怎样使用SQL进行kafka数据预览?4.Kafka Eagle是否支持多集群监控?1.概述在《Kafka 消息监控 - Kafka Eagle》一文中,简单的介绍了 Kafka Eagle这款监控工具的作用,截图预览,以及使用详情。今天_kafka eagle git

随便推点

Eva.js是什么(互动小游戏开发)-程序员宅基地

文章浏览阅读1.1k次,点赞29次,收藏19次。Eva.js 是一个专注于开发互动游戏项目的前端游戏引擎。:Eva.js 提供开箱即用的游戏组件供开发人员立即使用。是的,它简单而优雅!:Eva.js 由高效的运行时和渲染管道 (Pixi.JS) 提供支持,这使得释放设备的全部潜力成为可能。:得益于 ECS(实体-组件-系统)架构,你可以通过高度可定制的 API 扩展您的需求。唯一的限制是你的想象力!_eva.js

OC学习笔记-Objective-C概述和特点_objective-c特点及应用领域-程序员宅基地

文章浏览阅读1k次。Objective-C概述Objective-C是一种面向对象的计算机语言,1980年代初布莱德.考斯特在其公司Stepstone发明Objective-C,该语言是基于SmallTalk-80。1988年NeXT公司发布了OC,他的开发环境和类库叫NEXTSTEP, 1994年NExt与Sun公司发布了标准的NEXTSTEP系统,取名openStep。1996_objective-c特点及应用领域

STM32学习笔记6:TIM基本介绍_stm32 tim寄存器详解-程序员宅基地

文章浏览阅读955次,点赞20次,收藏16次。TIM(Timer)定时器定时器可以对输入的时钟进行计数,并在计数值达到设定值时触发中断16位计数器、预分频器、自动重装寄存器的时基单元,在 72MHz 计数时钟下可以实现最大 59.65s 的定时,59.65s65536×65536×172MHz59.65s65536×65536×721​MHz不仅具备基本的定时中断功能,而且还包含内外时钟源选择、输入捕获、输出比较、编码器接口、主从触发模式等多种功能。_stm32 tim寄存器详解

前端基础语言HTML、CSS 和 JavaScript 学习指南_艾编程学习资料-程序员宅基地

文章浏览阅读1.5k次。对于任何有兴趣学习前端 Web 开发的人来说,了解 HTML、CSS 和JavaScript 之间的区别至关重要。这三种前端语言都是您访问过的每个网站的用户界面构建块。而且,虽然每种语言都有不同的功能重点,但它们都可以共同创建令人兴奋的交互式网站,让用户保持参与。因此,您会发现学习所有三种语言都很重要。如果您有兴趣从事前端开发工作,可以通过多种方式学习这些语言——在艾编程就可以参与到学习当中来。在本文中,我们将回顾每种语言的特征、它们如何协同工作以及您可以在哪里学习它们。HTML vs C._艾编程学习资料

三维重构(10):PCL点云配准_局部点云与全局点云配准-程序员宅基地

文章浏览阅读2.8k次。点云配准主要针对点云的:不完整、旋转错位、平移错位。因此要得到完整点云就需要对局部点云进行配准。为了得到被测物体的完整数据模型,需要确定一个合适的坐标系变换,将从各个视角得到的点集合并到一个统一的坐标系下形成一个完整的数据点云,然后就可以方便地进行可视化,这就是点云数据的配准。点云配准技术通过计算机技术和统计学规律,通过计算机计算两个点云之间的错位,也就是把在不同的坐标系下的得到的点云进行坐标变..._局部点云与全局点云配准

python零基础学习书-Python零基础到进阶必读的书藉:Python学习手册pdf免费下载-程序员宅基地

文章浏览阅读273次。提取码:0oorGoogle和YouTube由于Python的高可适应性、易于维护以及适合于快速开发而采用它。如果你想要编写高质量、高效的并且易于与其他语言和工具集成的代码,《Python学习手册:第4 版》将帮助你使用Python快速实现这一点,不管你是编程新手还是Python初学者。本书是易于掌握和自学的教程,根据作者Python专家Mark Lutz的著名培训课程编写而成。《Python学习..._零基础学pythonpdf电子书